D-Type Flip-Flop

Autor: Monica Porter
Erstelldatum: 22 Marsch 2021
Aktualisierungsdatum: 16 Kann 2024
Anonim
Introduction to D flip flop
Video: Introduction to D flip flop

Inhalt

Definition - Was bedeutet D-Type Flip-Flop?

Ein D-Flip-Flop ist ein getaktetes Flip-Flop, das zwei stabile Zustände aufweist. Ein D-Flip-Flop arbeitet mit einer Eingangsverzögerung von einem Taktzyklus. Somit können durch Kaskadierung vieler D-Flip-Flops Verzögerungsschaltungen erzeugt werden, die in vielen Anwendungen, wie beispielsweise in digitalen Fernsehsystemen, verwendet werden.


Ein D-Flip-Flop ist auch als D-Flip-Flop oder Verzögerungs-Flip-Flop bekannt.

Eine Einführung in Microsoft Azure und die Microsoft Cloud | In diesem Handbuch erfahren Sie, worum es beim Cloud-Computing geht und wie Microsoft Azure Sie bei der Migration und Ausführung Ihres Unternehmens aus der Cloud unterstützen kann.

Techopedia erklärt D-Type Flip-Flop

Ein D-Flip-Flop besteht aus vier Eingängen:

  • Dateneingabe
  • Takteingang
  • Eingabe einstellen
  • Eingabe zurücksetzen

Es hat auch zwei Ausgänge, von denen einer logisch umgekehrt ist. Der Dateneingang ist entweder logisch 0 oder 1, was bedeutet, dass die Spannung niedrig oder hoch ist. Der Takteingang hilft beim Synchronisieren der Schaltung mit einem externen Signal. Der Set-Eingang und der Reset-Eingang werden meistens niedrig gehalten. Ein D-Flip-Flop kann zwei mögliche Werte haben. Wenn der Eingang D = 0 ist, wird das Flip-Flop zurückgesetzt, was bedeutet, dass der Ausgang auf 0 gesetzt wird. Wenn der Eingang D = 1 ist, führt das Flip-Flop einen Satz durch, der den Ausgang auf 1 setzt.


Ein D-Flip-Flop unterscheidet sich von einem D-Latch, da in einem Latch kein Taktsignal bereitgestellt wird, wohingegen bei einem D-Flip-Flop ein Taktsignal zum Ändern von Zuständen benötigt wird. Ein D-Flip-Flop kann mit einem Paar SR-Latches und mit einer Inverter-Verbindung zwischen S- und R-Eingängen für einen einzelnen Dateneingang aufgebaut werden. Die S- und R-Eingänge können niemals gleichzeitig hoch oder niedrig sein. Eines der herausragenden Merkmale eines D-Flip-Flops ist seine Fähigkeit, Daten zu "verriegeln" und zu speichern und zu speichern. Diese Eigenschaft wird verwendet, um eine Verzögerung des Fortschritts der Daten in der verwendeten Schaltung zu erzeugen.

Es gibt verschiedene Anwendungen, in denen ein D-Flip-Flop verwendet wird, beispielsweise in Frequenzteilern und Datenhaltern.